Integration-The VLSI Journal

Papers
(The TQCC of Integration-The VLSI Journal is 4. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-05-01 to 2024-05-01.)
ArticleCitations
A new multi-scroll Chua’s circuit with composite hyperbolic tangent-cubic nonlinearity: Complex dynamics, Hardware implementation and Image encryption application79
Review: Machine learning techniques in analog/RF integrated circuit design, synthesis, layout, and test51
Defense-in-depth: A recipe for logic locking to prevail45
A new adaptive selection strategy for reducing latency in networks on chip44
Dynamics analysis, FPGA realization and image encryption application of a 5D memristive exponential hyperchaotic system41
A novel dual mode configurable and tunable high-gain, high-efficient CMOS power amplifier for 5G applications38
Vulnerable objects detection for autonomous driving: A review33
Secure image encryption scheme using 4D-Hyperchaotic systems based reconfigurable pseudo-random number generator and S-Box32
FPGA-based Physical Unclonable Functions: A comprehensive overview of theory and architectures30
MOS based pseudo-resistors exhibiting Tera Ohms of Incremental Resistance for biomedical applications: Analysis and proof of concept28
A two-directional grid multiscroll hidden attractor based on piecewise linear system and its application in pseudo-random bit generator21
A new 4D Memristor chaotic system: Analysis and implementation20
Design of hyperchaotic system based on multi-scroll and its encryption algorithm in color image19
Constructing keyed strong S-Box with higher nonlinearity based on 2D hyper chaotic map and algebraic operation19
Cryptanalysis of nonlinear confusion component based encryption algorithm18
A fast approach for bitcoin blockchain cryptocurrency mining system18
Experimental verification of the multi-scroll chaotic attractors synchronization in PWL arbitrary-order systems using direct coupling and passivity-based control17
FPGA implementation of a chaotic oscillator with odd/even symmetry and its application17
FPGA implementation of high-performance, resource-efficient Radix-16 CORDIC rotator based FFT algorithm16
Realizations of fractional-order PID loop-shaping controller for mechatronic applications14
An efficient image encryption scheme based on double affine substitution box and chaotic system14
High-performance area-efficient polynomial ring processor for CRYSTALS-Kyber on FPGAs13
Electronically tunable third-order dual-mode quadrature sinusoidal oscillators employing VDCCs and all grounded components13
FPGA-based implementation of classification techniques: A survey13
RESET: A real-time scheduler for energy and temperature aware heterogeneous multi-core systems13
Approximate multipliers based on a novel unbiased approximate 4-2 compressor13
A comprehensive analysis on the resilience of adiabatic logic families against transient faults13
A Survey of FIR Filter Design Techniques: Low-complexity, Narrow Transition-band and Variable Bandwidth13
Compact and efficient structure of 8-bit S-box for lightweight cryptography13
On malicious implants in PCBs throughout the supply chain13
On-board processing for autonomous drone racing: An overview13
Real-time medical image encryption for H-IoT applications using improved sequences from chaotic maps12
Design automation for continuous-flow microfluidic biochips: A comprehensive review12
A memristive chaotic system with rich dynamical behavior and circuit implementation12
Coexistence of infinite attractors in a fractional-order chaotic system with two nonlinear functions and its DSP implementation12
Flipped voltage follower based fourth order filter and its application to portable ECG acquisition system12
A Novel four - Wing chaotic system with multiple attractors based on hyperbolic sine: Application to image encryption*12
Multi-source data fusion technique for parametric fault diagnosis in analog circuits12
Hyperchaotic fractional Grassi–Miller map and its hardware implementation12
Machine learning and structural characteristics for reverse engineering11
High-throughput and area-efficient architectures for image encryption using PRINCE cipher11
A bulk-driven quasi-floating gate FVF current mirror for low voltage, low power applications11
High-performance hardware architecture of a robust block-cipher algorithm based on different chaotic maps and DNA sequence encoding11
A novel current-controlled memristor-based chaotic circuit11
Accelerating Deep Convolutional Neural Network base on stochastic computing11
A novel memristive chaotic system without any equilibrium point11
VLSI mask optimization: From shallow to deep learning11
Low power chaotic oscillator employing CMOS10
Power density aware application mapping in mesh-based network-on-chip architecture: An evolutionary multi-objective approach10
Design of novel SMS4-BSK encryption transmission system10
A new hardware Trojan detection technique using deep convolutional neural network10
Logarithm-approximate floating-point multiplier is applicable to power-efficient neural network training10
A non-autonomous chaotic system with no equilibrium10
Mixed-radix, virtually scaling-free CORDIC algorithm based rotator for DSP applications10
Design space exploration of low-power flip-flops in FinFET technology10
A low latency modular-level deeply integrated MFCC feature extraction architecture for speech recognition10
Analysis of SRAM metrics for data dependent BTI degradation and process variability10
An efficient background calibration technique for analog-to-digital converters based on neural network9
Improving power analysis attack resistance using intrinsic noise in 3D ICs9
A robust and automated methodology for the analysis of Time-Dependent Variability at transistor level9
A new low-power Dynamic-GDI full adder in CNFET technology9
Batch generating keyed strong S-Boxes with high nonlinearity using 2D hyper chaotic map9
Chaotic encryption of real-time ECG signal in embedded system for secure telemedicine9
On the superiority of modularity-based clustering for determining placement-relevant clusters8
Methods increasing inherent resistance of ECC designs against horizontal attacks8
A chaotic PRNG tested with the heuristic Differential Evolution8
The Involution Tool for Accurate Digital Timing and Power Analysis8
Reliable and low power Negative Capacitance Junctionless FinFET based 6T SRAM cell8
WDP-BNN: Efficient wafer defect pattern classification via binarized neural network8
New lightweight Anti-SAT block design and obfuscation technique to thwart removal attack8
An effective watermarking technique using BTC and SVD for image authentication and quality recovery8
A transformer with high coupling coefficient and small area based on TSV7
New memristor-less, resistor-less, two-OTA based grounded and floating meminductor emulators and their applications in chaotic oscillators7
Study of the dynamical behavior of an Ikeda-based map with a discrete memristor7
Proposal and analysis of relative stability in mixed CNT bundle for sub-threshold interconnects7
Sizing of multi-stage Op Amps by combining design equations with the gm/ID method7
A formal model for proving hardware timing properties and identifying timing channels7
2.3–21 GHz broadband and high linearity distributed low noise amplifier7
Design of a real-time face detection architecture for heterogeneous systems-on-chips7
An efficient construction of S-box based on the fractional-order Rabinovich–Fabrikant chaotic system7
A survey on machine learning-based routing for VLSI physical design7
Emerging monolithic 3D integration: Opportunities and challenges from the computer system perspective7
Reveal the correlation between randomness and Lyapunov exponent of n-dimensional non-degenerate hyper chaotic map6
Hardware implementation of a robust image cryptosystem using reversible cellular-automata rules and 3-D chaotic systems6
An area and power efficient VLSI architecture for ECG feature extraction for wearable IoT healthcare applications6
An improved algorithm for accelerating reconfiguration of VLSI array6
An efficient multiple shortest augmenting paths algorithm for constructing high performance VLSI subarray6
Quantization aware approximate multiplier and hardware accelerator for edge computing of deep learning applications6
Avoidance vs. repair: New approaches to increasing electromigration robustness in VLSI routing6
A 0.3nV/√Hz input-referred-noise analog front-end for radiation-induced thermo-acoustic pulses6
Novel tunable current feedback instrumentation amplifier based on BBFC OP-AMP for biomedical applications with low power and high CMRR6
Agile-AES: Implementation of configurable AES primitive with agile design approach6
An Enhanced Memetic Algorithm using SKB tree representation for fixed-outline and temperature driven non-slicing floorplanning6
Breaking LPA-resistant cryptographic circuits with principal component analysis6
Convex optimization of random dynamic voltage and frequency scaling against power attacks6
Application driven routing for mesh based Network-on-Chip architectures6
AI/ML algorithms and applications in VLSI design and technology6
Transmission synchronization of multiple memristor chaotic circuits via single input controller and its application in secure communication6
TRACK: An algorithm for fault-tolerant, dynamic and scalable 2D mesh network-on-chip routing reconfiguration6
Experimental study of terrain coverage of an autonomous chaotic mobile robot6
A four-dimensional chaotic system with coexisting attractors and its backstepping control and synchronization6
Simulated annealing assisted NSGA-III-based multi-objective analog IC sizing tool6
High speed VLSI architecture for improved region based active contour segmentation technique6
Invasive weed optimization based scheduling for digital microfluidic biochip operations5
Valid test pattern identification for VLSI adaptive test5
PCoSA: A product error correction code for use in memory devices targeting space applications5
Test patterns reordering method based on Gamma distribution5
A PVT aware differential delay circuit and its performance variation due to power supply noise5
An improved heuristic technique for nearest neighbor realization of quantum circuits in 2D architecture5
A 0.6V 44.6 ppm/ºC subthreshold CMOS voltage reference with wide temperature range and inherent leakage compensation5
A broadband MVDR beamforming core for ultrasound imaging5
Multicast-enabled network-on-chip routers leveraging partitioned allocation and switching5
Novel gate-overlap tunnel FET based innovative ultra-low-power ternary flash ADC5
Secure access microcontroller system based on fingerprint template with hyperchaotic encryption5
Efficient design of decimation filter using linear programming and its FPGA implementation5
Passivity-based non-fragile control of a class of uncertain fractional-order nonlinear systems5
A novel tunable gain CMOS buffer amplifier for large resistive loads5
Design of FIR filter ISOTA with the aid of genetic algorithm5
Machine learning classification algorithm for VLSI test cost reduction5
Neurochaos feature transformation for Machine Learning5
Fluid-to-cell assignment and fluid loading on programmable microfluidic devices for bioprotocol execution5
Design of highly reliable radiation hardened 10T SRAM cell for low voltage applications5
Building discrete maps with memristor and multiple nonlinear terms4
DULBC: A dynamic ultra-lightweight block cipher with high-throughput4
FPGA-based parallel implementation to classify Hyperspectral images by using a Convolutional Neural Network4
Hw/Sw Co-Design technique for 2D fast fourier transform algorithm on Zynq SoC4
Radiation-aware analog circuit design via fully-automated simulation environment4
Monolithic 3D stacked multiply-accumulate units4
A DRV-based bit selection method for SRAM PUF key generation and its impact on ECCs4
A self-control leakage-suppression block for low-power high-efficient static logic circuit design in 22 nm CMOS process4
A fast transient response current-feedback low-dropout regulator with dynamic current-enhancement technique4
Mathematical analysis and circuit emulator design of the three-valued memristor4
Low-power content addressable memory design using two-layer P-N match-line control and sensing4
Design and implementation of current mode circuit for digital modulation4
An efficient and reliable MRF-based methodology for designing low-power VLSI circuits4
Machine learning based fast and accurate High Level Synthesis design space exploration: From graph to synthesis4
Custom NoC topology generation using Discrete Antlion Trapping Mechanism4
A 0.2-V 1.2 nW 1-KS/s SAR ADC with a novel comparator structure for biomedical applications4
Statistical traffic pattern for mixed torus topology and pathfinder based traffic and thermal aware routing protocol on NoC4
Integrated DC - DC converter design methodology for design cycle speed up4
Research progress of time-interleaved analog-to-digital converters4
A very low output resistance and wide-swing class-AB level-shifted folded flipped voltage follower cell4
A transparent virtual channel power gating method for on-chip network routers4
Litho-NeuralODE 2.0: Improving hotspot detection accuracy with advanced data augmentation, DCT-based features, and neural ordinary differential equations4
Design and analysis of a flat gain and linear low noise amplifier using modified current reused structure with feedforward structure4
Graph-based STA for asynchronous controllers4
Real-time infrared small target detection network and accelerator design4
Investigating the influence of adiabatic load on the 4-phase adiabatic system design4
A double-node-upset completely tolerant CMOS latch design with extremely low cost for high-performance applications4
Development of micro computer based mobile random number generator with an encryption application4
0.030838012695312