Plasma Chemistry and Plasma Processing

Papers
(The TQCC of Plasma Chemistry and Plasma Processing is 6. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-05-01 to 2024-05-01.)
ArticleCitations
Decomposition of Toluene with a Combined Plasma Photolysis (CPP) Reactor: Influence of UV Irradiation and Byproduct Analysis100
Novel insight at the Effect of Cold Atmospheric Pressure Plasma on the Activity of Enzymes Essential for the Germination of Pea (Pisum sativum L. cv. Prophet) Seeds43
Investigation of Physicochemical Properties of Plasma Activated Water and its Bactericidal Efficacy42
Influence of Cold Atmospheric Pressure Plasma on Pea Seeds: DNA Damage of Seedlings and Optical Diagnostics of Plasma38
Thermal Plasma Treatment of Medical Waste37
Gasification of Municipal Solid Wastes in Plasma Arc Medium26
Mn-Based Catalysts for Post Non-Thermal Plasma Catalytic Abatement of VOCs: A Review on Experiments, Simulations and Modeling25
Treatment of Pea Seeds with Plasma Activated Water to Enhance Germination, Plant Growth, and Plant Composition23
Scaling Up of Non-Thermal Gliding Arc Plasma Systems for Industrial Applications21
Atmospheric Pressure Cold Plasma as a Potential Technology to Degrade Carbamate Residues in Water21
Inactivation of Candida albicans and Lemon (Citrus limon) Spoilage Fungi Using Plasma Activated Water21
Discussion of the Effect of Shielding Gas and Conductivity of Vapor Core on Metal Transfer Phenomena in Gas Metal Arc Welding by Numerical Simulation20
Generation Characteristics of Long-Lived Active Species in a Water Falling Film DBD Reactor20
Combined Effects of Air Plasma Seed Treatment and Foliar Application of Plasma Activated Water on Enhanced Paddy Plant Growth and Yield20
The Production of Plasma Activated Water in Controlled Ambient Gases and its Impact on Cancer Cell Viability18
Atmospheric Pressure Dielectric Barrier Discharges for the Deposition of Organic Plasma Polymer Coatings for Biomedical Application18
Germination Improvement of Three Pine Species (Pinus) After Diffuse Coplanar Surface Barrier Discharge Plasma Treatment18
Relative Potential of Different Plasma Forming Gases in Degradation of Rhodamine B Dye by Microplasma Treatment and Evaluation of Reuse Prospectus for Treated Water as Liquid Fertilizer18
Non-thermal Plasma Activated Water for Increasing Germination and Plant Growth of Lactuca sativa L17
The Effects of Pulse Shape on the Selectivity and Production Rate in Non-oxidative Coupling of Methane by a Micro-DBD Reactor17
Effects of Oxygen (O2) Plasma Treatment in Promoting the Germination and Growth of Chili17
Atmospheric Pressure Non-thermal Plasma for Air Purification: Ions and Ionic Reactions Induced by dc+ Corona Discharges in Air Contaminated with Acetone and Methanol16
Synergistic Effect of Co–Ni Bimetal on Plasma Catalytic Ammonia Synthesis16
Identifying Regimes During Plasma Catalytic Ammonia Synthesis16
Surface Modification of Polytetrafluoroethylene by Atmospheric Pressure Plasma-Grafted Polymerization15
Improvement of Seed Germination Rate, Agronomic Traits, Enzymatic Activity and Nutritional Composition of Bread Wheat (Triticum aestivum) Using Low-Frequency Glow Discharge Plasma15
Efficient Degradation of Styrene in a Nonthermal Plasma–Catalytic System Over Pd/ZSM-5 Catalyst15
H2S Decomposition into H2 and S2 by Plasma Technology: Comparison of Gliding Arc and Microwave Plasma14
Two-Temperature Chemical Non-equilibrium Modeling of Argon DC Arc Plasma Torch14
Recent Development of Technology in Scale-up of Plasma Reactors for Environmental and Energy Applications14
Comparison of Chemistry Induced by Direct and Indirect Plasma Treatment of Water to the Effect of UV Radiation13
Experimental and Numerical Analysis of a Reverse-polarity Plasma Torch for Plasma Atomization13
Pilot-Scale NOx and SOx Aftertreatment by Semi-Dry Plasma-Chemical Hybrid Process in Glass-Melting-Furnace Exhaust Gas13
Graph Theory Applied to Plasma Chemical Reaction Engineering13
Optimization of TiO2 Mesoporous Photoanodes Prepared by Inkjet Printing and Low-Temperature Plasma Processing12
Influence of Electrode Interval and Barrier Thickness in the Segmented Electrode Micro-plasma DBD Reactor on CO2 Decomposition12
Growth Enhancement of Radish Seed Induced by Low-Temperature Argon Plasma12
Plasma-Chemical Synthesis of Lead Sulphide Thin Films for Near-IR Photodetectors12
N-Decane Reforming by Gliding Arc Plasma in Air and Nitrogen12
Molecular Species Generated by Surface Dielectric Barrier Discharge Micro-plasma in Small Chambers Enclosing Atmospheric Air and Water Samples12
Plasma Parameters and Silicon Etching Kinetics in C4F8 + O2 + Ar Gas Mixture: Effect of Component Mixing Ratios12
Exploring the Effects of Placement and Electron Angular Distribution on Two Adjacent Mask Holes During Plasma Etching Process11
Synthesis and Characterization of Titanium Oxide Nanoparticles by Plasma in Contact with Liquid11
Numerical and Experimental Investigation on the Effects of a Nozzle Attachment to Plasma Torches for Plasma Atomization11
Spatial Variations of Plasma Parameters in a Hollow Cathode Discharge11
Impact of Short Time Atmospheric Plasma Treatment on Onion Seeds10
Numerical and Experimental Study of the Multichannel Nature of the Synthesis of Carbon Nanostructures in DC Plasma Jets10
Influence of Operating Parameters, Al2O3 and Ni/Al2O3 Catalysts on Plasma-Assisted CO2 Reforming of CH4 in a Parallel Plate Dielectric Barrier Discharge for High H2/CO Ratio Syngas Production10
Activated Carbon Modified by Nanosecond Pulsed Discharge for Polycyclic Aromatic Hydrocarbons Detection10
Synergy of NTP-La1-xAgxMn1-yCoyO3-δ Hybrid for Soot Catalytic Combustion at Low Temperature10
Efficient Corona Discharge Fog Collector: Multiple Mesh Electrodes with Electric Field Enhances Fog Harvesting9
Cold Atmospheric Plasma Brush Effect on Population Reduction of Different Bacterial Spectrums9
Modeling of Atmospheric-Pressure Dielectric Barrier Discharges in Argon with Small Admixtures of Tetramethylsilane9
Localized Electric Field Enhanced Streamer Cold Plasma Interaction on Biological Curved Surfaces and Its Shadow Effect9
Investigation of Hydrogen Peroxide Formation After Underwater Plasma Discharge9
Biological Effects of Cold Atmospheric Pressure Plasma on Skin Cancer9
Influence of Potable Water Origin on the Physicochemical and Antimicrobial Properties of Plasma Activated Water9
A Comparison of CF4, CHF3 and C4F8 + Ar/O2 Inductively Coupled Plasmas for Dry Etching Applications9
Quantitative Analysis of Coal by Laser-Induced Breakdown Spectroscopy Using TEA CO2 Laser as the Excitation Source9
Responses of Rice Seed Quality to Large-Scale Atmospheric Nonthermal Plasmas8
Dominant Heat Transfer Mechanisms in the GTAW Plasma Arc Column8
Synthesis of Silicon and Silicon Carbide Nanoparticles by Pulsed Electrical Discharges in Dielectric Liquids8
Effect of Low Temperature Plasma Treatment on Biological Characteristics and Yield Components of Wheat Seeds (Triticum aestivum L.)8
Enhancement Propagation of Protocorms in Orchid (Cymbidium tracyanum L. Castle) by Cold Atmospheric Pressure Air Plasma Jet8
Production of Nickel by Cold Hydrogen Plasma8
Selective Synthesis of 2D Mesoporous CuO Agglomerates by Pulsed Spark Discharge in Water8
Theoretical Analysis of the Decomposition Pathways and Species of Environmentally Friendly Insulation Gas C6F12O Based on the DFT and TST8
Nitrogen Fixation as NOx Enabled by a Three-Level Coupled Rotating Electrodes Air Plasma at Atmospheric Pressure8
The Effect of Low-Pressure Dielectric Barrier Discharge (LPDBD) Plasma in Boosting Germination, Growth, and Nutritional Properties in Wheat8
The Effect of Discharge Frequency of a Gas–Liquid Plasma Reactor on Bulk Liquid Transport and Removal of Organic Contaminants8
The Chemical Composition of Species Formed in a Water Anode Under the Action of a Direct Current Electric Discharge: Comparison with Liquid Cathode—Experiment and Simulation7
High Efficiency Removal of Sulfur Mustard Surrogate by Cold Plasma Jet7
Non-thermal Plasma as a Priming Tool to Improve the Yield of Pea in Outdoor Conditions7
The Effect of Air Plasma Activated Liquid on Uropathogenic Bacteria7
Red Mud Packed Surface Discharge Reactor for Nox/THC Removal: Exploring Plasma Catalysis of Diesel Exhaust7
Thermal Plasma Synthesis of Li2S Nanoparticles for Application in Lithium-Sulfur Batteries7
Investigation of Non-thermal Plasma Assisted Combustion of Solid Biomass Fuels: Effects on Flue Gas Composition and Efficiency7
Quantitative Multi-Element Analysis in Soil Using 532 nm and 1064 nm Lasers in LIBS Technique7
How Hydrogen Admixture Changes Plasma Jet Characteristics in Spray Processes at Low Pressure7
Plasma-Catalytic Ammonia Decomposition for Carbon-Free Hydrogen Production Using Low Pressure-Synthesized Mo2N Catalyst7
Air to H2-N2 Pulse Plasma Jet for In-Vitro Plant Tissue Culture Process: Source Characteristics7
Improvement of the Bioactivity of UHMWPE by Two Different Atmospheric Plasma Treatments7
Residual Layer Removal of Technical Glass Resulting from Reactive Atmospheric Plasma Jet Etching by Pulsed Laser Irradiation6
Experimental Study on the Restrike Mode of a DC Arc Anode Attachment6
Enhanced In situ Activity of Peroxidases and Lignification of Root Tissues after Exposure to Non-Thermal Plasma Increases the Resistance of Pea Seedlings6
Effect of Intermittent Injection of Ar/CH4 Quenching Gas on Particle Composition and Size of Si/C Nanoparticles Synthesized by Modulated Induction Thermal Plasma6
Air Supply Mode Effects on Ozone Production of Surface Dielectric Barrier Discharge in a Cylindrical Configuration6
Nitrite and Nitrate Production by NO and NO2 Dissolution in Water Utilizing Plasma Jet Resembling Gas Flow Pattern6
Excitation Frequency Effect on Breast Cancer Cell Death by Atmospheric Pressure Cold Plasma6
Stimulating Effects of Plasma Activated Water on Growth, Biochemical Activity, Nutritional Composition and Yield of Potato (Solanum tuberosum L.)6
Synthesis of Carbon Nanohorns by Inductively Coupled Plasma6
Amination of Cyclohexane by Dielectric Barrier Discharge Processing in a Continuous Flow Microreactor: Experimental and Simulation Studies6
Barrier Discharges in Science and Technology Since 2003: A Tribute and Update6
Spheroidization of Tungsten Powder by a DC Arc Plasma Generator with Multiple Cathodes6
Laser Induced Dielectric Breakdown for Chemical Vapor Deposition by Hydrogen Reduction of Volatile Boron Halides BCl3 and BF36
Effect of Cold Atmospheric Plasma Jet and Gamma Radiation Treatments on Gingivobuccal Squamous Cell Carcinoma and Breast Adenocarcinoma Cells6
Chemical Kinetics of Unsymmetrical Dimethylhydrazine (UDMH) Degradation in Wastewater by ·OH Radical6
Enhanced Fruiting Body Production and Bioactive Phytochemicals from White Cordyceps militaris by Blending Cordyceps militaris and Using Cold Plasma Jet6
Hydrodeoxygenation of Guaiacol to Aromatic Hydrocarbons over Mo2C Prepared in Nonthermal Plasma6
Degradation of Benzene by a Falling Film Gas–Liquid Phase DBD Plasma with Persulfate6
Collision Integrals for Nitrogen and Hydrogen Ionized Gas: The Exact Values and Assessment of Approximations6
0.021125078201294