Journal of Vacuum Science & Technology A

Papers
(The TQCC of Journal of Vacuum Science & Technology A is 5. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-05-01 to 2024-05-01.)
ArticleCitations
Practical guide for curve fitting in x-ray photoelectron spectroscopy298
Practical guides for x-ray photoelectron spectroscopy (XPS): Interpreting the carbon 1s spectrum209
Introduction to x-ray photoelectron spectroscopy181
Practical guides for x-ray photoelectron spectroscopy: Quantitative XPS138
Assessment of the frequency and nature of erroneous x-ray photoelectron spectroscopy analyses in the scientific literature108
Practical guide to the use of backgrounds in quantitative XPS76
X-ray photoelectron spectroscopy: A perspective on quantitation accuracy for composition analysis of homogeneous materials74
Introductory guide to backgrounds in XPS spectra and their impact on determining peak intensities63
Many routes to ferroelectric HfO2: A review of current deposition methods63
Thermal atomic layer etching: A review61
The role of plasma in plasma-enhanced atomic layer deposition of crystalline films51
Next generation nanopatterning using small molecule inhibitors for area-selective atomic layer deposition51
Ion implantation in β-Ga2O3: Physics and technology47
High-temperature low-pressure chemical vapor deposition of β-Ga2O345
Effect of metal contacts on (100) β-Ga2O3 Schottky barriers42
Deep level defect states in β-, α-, and ɛ-Ga2O3 crystals and films: Impact on device performance38
Sample handling, preparation and mounting for XPS and other surface analytical techniques35
Introduction to topical collection: Reproducibility challenges and solutions with a focus on guides to XPS analysis35
Heteroepitaxial growth of β-Ga2O3 films on SiC via molecular beam epitaxy35
Effect of probe geometry during measurement of >100 A Ga2O3 vertical rectifiers31
Self-healing ceramic coatings that operate in extreme environments: A review29
Etch selectivity during plasma-assisted etching of SiO2 and SiNx: Transitioning from reactive ion etching to atomic layer etching29
Epitaxial integration of BaTiO3 on Si for electro-optic applications28
Modeling of an atmospheric pressure plasma-liquid anodic interface: Solvated electrons and silver reduction26
Systematic compositional analysis of sputter-deposited boron-containing thin films26
Diffusion of dopants and impurities in β-Ga2O326
Manipulation of thin silver film growth on weakly interacting silicon dioxide substrates using oxygen as a surfactant24
Quantum dot lasers—History and future prospects24
High responsivity solar-blind metal-semiconductor-metal photodetector based on α-Ga2O324
Introductory guide to the application of XPS to epitaxial films and heterostructures24
Correlation between electrical conductivity and luminescence properties in β-Ga2O3:Cr3+ and β-Ga2O3:Cr,Mg single crystals22
Procedure which allows the performance and calibration of an XPS instrument to be checked rapidly and frequently21
Reduction of unintentional Si doping in β-Ga2O3 grown via plasma-assisted molecular beam epitaxy20
Magnetron sputtering20
Impact of Cr2O3 additives on the gas-sensitive properties of β-Ga2O3 thin films to oxygen, hydrogen, carbon monoxide, and toluene vapors20
β-(AlxGa1−x)2O3/Ga2O3 heterostructure Schottky diodes for improved VBR2/RON20
Performance and reliability of β-Ga2O3 Schottky barrier diodes at high temperature20
Microstructure and optical properties of sputter-deposited Ga2O3 films19
Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition19
Thermal atomic layer etching of amorphous and crystalline Al2O3 films18
Guide to XPS data analysis: Applying appropriate constraints to synthetic peaks in XPS peak fitting18
Accuracy limitations for composition analysis by XPS using relative peak intensities: LiF as an example18
O·, H·, and ·OH radical etching probability of polystyrene obtained for a radio frequency driven atmospheric pressure plasma jet18
Surface damage formation during atomic layer etching of silicon with chlorine adsorption18
Conversion reactions in atomic layer processing with emphasis on ZnO conversion to Al2O3 by trimethylaluminum18
Thickness-dependent optical properties of aluminum nitride films for mid-infrared wavelengths17
Two-step growth of β-Ga2O3 films on (100) diamond via low pressure chemical vapor deposition17
Application of FEFF analyses to actinide 5f systems17
Factors influencing surface carbon contamination in ambient-pressure x-ray photoelectron spectroscopy experiments16
Area-selective molecular layer deposition of nylon 6,2 polyamide: Growth on carbon and inhibition on silica16
Insight into the removal and reapplication of small inhibitor molecules during area-selective atomic layer deposition of SiO216
Atomic layer etching of GaN using Cl2 and He or Ar plasma16
In situ x-ray photoelectron spectroscopy analysis of electrochemical interfaces in battery: Recent advances and remaining challenges16
Interface structure and luminescence properties of epitaxial PbSe films on InAs(111)A15
Searching for superconductivity in high entropy oxide Ruddlesden–Popper cuprate films15
Critical review of Ohmic and Schottky contacts to β-Ga2O315
Effects of structured electrodes on electron power absorption and plasma uniformity in capacitive RF discharges15
Thermal atomic layer etching of germanium-rich SiGe using an oxidation and “conversion-etch” mechanism15
Band offsets at metalorganic chemical vapor deposited β-(AlxGa1−x)2O3/β-Ga2O3 interfaces—Crystalline orientation dependence15
Mechanism of SiN etching rate fluctuation in atomic layer etching15
Atomic layer deposition of AlN using atomic layer annealing—Towards high-quality AlN on vertical sidewalls15
Versailles Project on Advanced Materials and Standards interlaboratory study on intensity calibration for x-ray photoelectron spectroscopy instruments using low-density polyethylene15
tert-butoxides as precursors for atomic layer deposition of alkali metal containing thin films15
Epitaxial superconductor-semiconductor two-dimensional systems for superconducting quantum circuits15
Ultrahigh purity conditions for nitride growth with low oxygen content by plasma-enhanced atomic layer deposition15
Physical and chemical vapor deposition methods applied to all-inorganic metal halide perovskites14
In situ surface analysis of an ion-energy-dependent chlorination layer on GaN during cyclic etching using Ar+ ions and Cl radicals14
Hard x-ray photoelectron spectroscopy of tunable oxide interfaces14
N-type doping of low-pressure chemical vapor deposition grown β-Ga2O3 thin films using solid-source germanium14
Modified atomic layer deposition of MoS2 thin films14
Vapor deposition of quaternary ammonium methacrylate polymers with high antimicrobial activity: Synthetic route, toxicity assessment, and durability analysis13
Role of physisorption in atomic layer etching of silicon nitride13
Delta-doped β-(AlxGa1−x)2O3/Ga2O3 heterostructure field-effect transistors by ozone molecular beam epitaxy13
Kinetic modeling of ammonia decomposition at chemical vapor deposition conditions13
Design of Ga2O3 modulation doped field effect transistors13
On the formation of black silicon in SF6-O2 plasma: The clear, oxidize, remove, and etch (CORE) sequence and black silicon on demand13
Role of high aspect-ratio thin-film metal deposition in Cu back-end-of-line technology13
Substrate orientation dependent current transport mechanisms in β-Ga2O3/Si based Schottky barrier diodes13
Evaluation of TiO2 and ZnO atomic layer deposition coated polyamide 66 fabrics for photocatalytic activity and antibacterial applications13
Heterovalent semiconductor structures and devices grown by molecular beam epitaxy13
Influence of precursor dose and residence time on the growth rate and uniformity of vanadium dioxide thin films by atomic layer deposition13
7.5 kV, 6.2 GW cm−2 NiO/β-Ga2O3 vertical rectifiers with on–off ratio greater than 101313
In situ, in vivo, and in operando imaging and spectroscopy of liquids using microfluidics in vacuum13
Experimental determination of electron attenuation lengths in complex materials by means of epitaxial film growth: Advantages and challenges13
Homoepitaxial GaN micropillar array by plasma-free photo-enhanced metal-assisted chemical etching13
Novel in situ sensing surface forces apparatus for measuring gold versus gold, hydrophobic, and biophysical interactions12
The chemistry and energetics of the interface between metal halide perovskite and atomic layer deposited metal oxides12
3D-printed and injection molded polymer matrix composites with 2D layered materials12
Review on recent progress in patterning phase change materials12
Temperature dependent performance of ITO Schottky contacts on β-Ga2O312
Universal scaling relationship for atomic layer etching12
Temperature dependence of on–off ratio and reverse recovery time in NiO/β-Ga2O3 heterojunction rectifiers12
Raman scattering, emission, and deep defect evolution in ZnO:In thin films12
Structure, stress, and mechanical properties of Mo-Al-N thin films deposited by dc reactive magnetron cosputtering: Role of point defects12
High power impulse magnetron sputtering of diamond-like carbon coatings12
Formation and desorption of nickel hexafluoroacetylacetonate Ni(hfac)2 on a nickel oxide surface in atomic layer etching processes12
Van der Waals epitaxy and remote epitaxy of LiNbO3 thin films by pulsed laser deposition11
Reactor scale simulations of ALD and ALE: Ideal and non-ideal self-limited processes in a cylindrical and a 300 mm wafer cross-flow reactor11
Topology of conductive clusters in sputtered high-quality VO2 thin films on the brink of percolation threshold during insulator-to-metal and metal-to-insulator transitions11
Nonlinear effects in low-energy ion sputtering of solids11
Perspective on improving the quality of surface and material data analysis in the scientific literature with a focus on x-ray photoelectron spectroscopy (XPS)11
Atomic layer etching of Si3N4 with high selectivity to SiO2 and poly-Si11
Hf1−xZrxO2 and HfO2/ZrO2 gate dielectrics with extremely low density of interfacial defects using low temperature atomic layer deposition on GaN and InP11
Electrical properties of p-type Zn:Ga2O3 thin films11
Atomic layer deposition and characterization of Zn-doped Ga2O3 films11
Oxidative molecular layer deposition of PEDOT using volatile antimony(V) chloride oxidant11
Structural and optical properties of (Zn,Mn)O thin films prepared by atomic layer deposition11
Epitaxial oxide thin films for oxygen electrocatalysis: A tutorial review11
Molecular beam epitaxy of KTaO311
Strengths of plasmon satellites in XPS: Real-time cumulant approach11
Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices11
Erratum: “Practical guides for x-ray photoelectron spectroscopy: First steps in planning, conducting, and reporting XPS measurements” [J. Vac. Sci. Technol. A 37, 031401 (2019)]10
Continuous polymer films deposited on top of porous substrates using plasma-enhanced atomic layer deposition and molecular layer deposition10
Plasma enhanced atomic layer deposition of textured aluminum nitride on platinized substrates for MEMS10
Heteroepitaxial growth of Ga2O3 on 4H-SiC by liquid-injection MOCVD for improved thermal management of Ga2O3 power devices10
Raman scattering study of nanoscale Mo/Si and Mo/Be periodic multilayer structures10
Patterning nickel for extreme ultraviolet lithography mask application I. Atomic layer etch processing10
Engineering ordered arrangements of oxygen vacancies at the surface of superconducting La2CuO4 thin films10
Introduction to lateral resolution and analysis area measurements in XPS10
Atomic layer deposition of ruthenium using an ABC-type process: Role of oxygen exposure during nucleation9
Atomic layer deposition of germanium-selenium-tellurium compounds for low-leakage, tunable ovonic threshold switches9
Ultrahigh aspect ratio etching of silicon in SF6-O2 plasma: The clear-oxidize-remove-etch (CORE) sequence and chromium mask9
Probing copper-boron interactions in the Cu2B8− bimetallic cluster9
Review of electrical contacts to phase change materials and an unexpected trend between metal work function and contact resistance to germanium telluride9
Effect of substrate bias on microstructure of epitaxial film grown by HiPIMS: An atomistic simulation9
Surface reaction of the hafnium precursor with a linked amido-cyclopentadienyl ligand: A density functional theory study9
Plasma-enhanced atomic layer deposited indium oxide film using a novel dimethylbutylamino-trimethylindium precursor for thin film transistors9
Selective generation of reactive oxygen species in plasma-activated water using CO2 plasma9
Effects of thickness and interlayer on optical properties of AlN films at room and high temperature9
Erosion of focus rings in capacitively coupled plasma etching reactors9
Atomic layer etching of SiO2 with self-limiting behavior on the surface modification step using sequential exposure of HF and NH39
High-capacity rotary drum for atomic layer deposition onto powders and small mechanical parts in a hot-walled viscous flow reactor9
Operating modes and target erosion in high power impulse magnetron sputtering9
Low-pressure thermogravimetric analysis for finding sublimation temperatures for organic precursors in atomic/molecular layer deposition9
Al Kα XPS reference spectra of polyethylene for all instrument geometries9
Anisotropic magnetoresistance and planar Hall effect in correlated and topological materials9
Mechanism for growth initiation on aminosilane-functionalized SiO2 during area-selective atomic layer deposition of ZrO29
Large-scale synthesis of atomically thin ultrawide bandgap β-Ga2O3 using a liquid gallium squeezing technique9
Atomic layer deposition of HfO2 films using carbon-free tetrakis(tetrahydroborato)hafnium and water8
First principles study on the lattice thermal conductivity of α-phase Ga2O38
Oxygen incorporation in AlN films grown by plasma-enhanced atomic layer deposition8
Etching with electron beam-generated plasmas: Selectivity versus ion energy in silicon-based films8
Deposition of sputtered NiO as a p-type layer for heterojunction diodes with Ga2O38
Germanium dioxide: A new rutile substrate for epitaxial film growth8
ToF-SIMS in battery research: Advantages, limitations, and best practices8
Area-selective atomic layer deposition of molybdenum oxide8
X-ray photoelectron spectroscopy analysis of TiBx (1.3 ≤ x ≤ 3.0) thin films8
Enhancing nanostructured nickel-rich lithium-ion battery cathodes via surface stabilization8
Atomic layer deposition and selective etching of ruthenium for area-selective deposition: Temperature dependence and supercycle design8
Effect of drift layer doping and NiO parameters in achieving 8.9 kV breakdown in 100 μm diameter and 4 kV/4 A in 1 mm diameter NiO/β-Ga2O3 rectifiers8
Various evolution trends of sample thickness in fluorocarbon film deposition on SiO28
Techniques for epitaxial site-selective growth of quantum dots8
Epitaxial growth of highly textured ZnO thin films on Si using an AlN buffer layer by atomic layer deposition8
Rhombohedral boron nitride epitaxy on ZrB28
Practical guide on chemometrics/informatics in x-ray photoelectron spectroscopy (XPS). II. Example applications of multiple methods to the degradation of cellulose and tartaric acid8
Fabrication of crystal plane oriented trenches in gallium nitride using SF6 + Ar dry etching and wet etching post-treatment8
Packing of inhibitor molecules during area-selective atomic layer deposition studied using random sequential adsorption simulations8
Thermodynamics and kinetics of H adsorption and intercalation for graphene on 6H-SiC(0001) from first-principles calculations8
Vapor deposition of CsPbBr3 thin films by evaporation of CsBr and PbBr28
Atomic layer etching of Al2O3 with NF3 plasma fluorination and trimethylaluminum ligand exchange8
Nitrogen ion-implanted resistive regions for edge termination of vertical Ga2O3 rectifiers8
Influence of the carrier wafer during GaN etching in Cl2 plasma8
MOCVD growth and band offsets of κ-phase Ga2O3 on c-plane sapphire, GaN- and AlN-on-sapphire, and (100) YSZ substrates8
Correlating surface stoichiometry and termination in SrTiO3 films grown by hybrid molecular beam epitaxy8
Effect of O2 plasma exposure time during atomic layer deposition of amorphous gallium oxide8
Low-temperature plasma atomic layer etching of molybdenum via sequential oxidation and chlorination8
Review of recent studies on nanoscale electrical junctions and contacts: Quantum tunneling, current crowding, and interface engineering8
Sticking coefficients of selenium and tellurium8
Atomic layer etching of metals with anisotropy, specificity, and selectivity8
On the response of gamma irradiation on atomic layer deposition-grown β-Ga2O3 films and Au-β-Ga2O3-Au deep ultraviolet solar-blind photodetectors8
Photoluminescence, thermoluminescence, and cathodoluminescence of optimized cubic Gd2O3:Bi phosphor powder8
Effect of capping layers on the near-surface region of SrVO3 films8
Lab-based operando x-ray photoelectron spectroscopy for probing low-volatile liquids and their interfaces across a variety of electrosystems8
Molecular dynamics simulation of Si trench etching with SiO2 hard masks7
Ultrawide bandgap vertical β-(AlxGa1−x)2O3 Schottky barrier diodes on free-standing β-Ga2O3 substrates7
Mitigating residual stress of high temperature CVD diamond films on vanadium carbide coated steel7
Magnetic properties and resistive switching in mixture films and nanolaminates consisting of iron and silicon oxides grown by atomic layer deposition7
Area-selective atomic layer deposition enabled by competitive adsorption7
Dry etching in the presence of physisorption of neutrals at lower temperatures7
Room-temperature and high-quality HfO2/SiO2 gate stacked film grown by neutral beam enhanced atomic layer deposition7
Effect of pretreatment with ultrasonic vibration for plasma-processed multiwalled carbon nanotubes7
Direct current and high power impulse magnetron sputtering discharges with a positively biased anode7
Photoluminescence spectroscopy of Cr3+ in β-Ga2O3 and (Al0.1Ga0.9)2O37
Properties of indium tin oxide thin films grown by Ar ion beam sputter deposition7
Polymethylmethacrylate wettability change spatially correlates with self-organized streamer microdischarge patterns in dielectric barrier discharge plasmas7
Underlying simplicity of 5f unoccupied electronic structure7
Control of ion energy during plasma enhanced atomic layer deposition: A new strategy for the modulation of TiN growth delay on SiO27
Highly selective and vertical etch of silicon dioxide using ruthenium films as an etch mask7
Interface characteristics of β-Ga2O3/Al2O3/Pt capacitors after postmetallization annealing7
Modification of β-gallium oxide electronic properties by irradiation with high-energy electrons7
In vacuo atomic layer deposition and electron tunneling characterization of ultrathin dielectric films for metal/insulator/metal tunnel junctions7
Hollow cathode plasma electron source for low temperature deposition of cobalt films by electron-enhanced atomic layer deposition7
Microstructural and chemical analysis of polycrystalline LiNbO3 films obtained by room-temperature RF sputtering after various annealing durations7
Utilizing plasma modified SnO2 paper gas sensors to better understand gas-surface interactions at low temperatures7
Precursor selection in hybrid molecular beam epitaxy of alkaline-earth stannates7
Plasma enhanced atomic layer deposition of thin film Li1+xMn2−xO4 for realization of all solid-state 3D lithium-ion microbatteries7
Review of major technologies improving surface performances of Ti alloys for implant biomaterials7
Pulsed laser annealing of amorphous two-dimensional transition metal dichalcogenides7
Preparation of atomic layer deposited vanadium dioxide thin films using tetrakis(ethylmethylamino) vanadium as precursor7
Practical guide on chemometrics/informatics in x-ray photoelectron spectroscopy (XPS). I. Introduction to methods useful for large or complex datasets7
Degradation of methylene blue by pulsed nanosecond discharge in Ar, O2, and N2 gaseous bubbles in water: Evaluation of direct and postprocessing modes6
Review of key vertical-cavity laser and modulator advances enabled by advanced MBE technology6
Fabrication and characterization of inkjet-printed 2D perovskite optoelectronic devices6
Deposition of tungsten oxide films by reactive magnetron sputtering on different substrates6
Disclosing the response of the surface electronic structure in SrTiO3 (001) to strain6
Effect of N2/H2 plasma on the growth of InN thin films on sapphire by hollow-cathode plasma-assisted atomic layer deposition6
Metalorganic chemical vapor deposition of (100) β-Ga2O3 on on-axis Ga2O3 substrates6
Self-assembled vertically aligned nanocomposite systems integrated on silicon substrate: Progress and future perspectives6
Chemical significance of x-ray photoelectron spectroscopy binding energy shifts: A Perspective6
Erratum: “Practical guide for curve fitting in x-ray photoelectron spectroscopy” [J. Vac. Sci. Technol. A 38, 061203 (2020)]6
On the possible nature of deep centers in Ga2O36
Comprehensive characterization of copper oxide atomic layer deposition using water or ozone with enhanced bis-(dimethylamino-2-propoxide) copper delivery6
Ultrathin stable Ohmic contacts for high-temperature operation of β-Ga2O3 devices6
Surface ligand removal in atomic layer deposition of GaN using triethylgallium6
Work function performance of a C12A7 electride surface exposed to low pressure low temperature hydrogen plasmas6
Gas-phase surface functionalization of SiNx with benzaldehyde to increase SiO2 to SiNx etch selectivity in atomic layer etching6
Selective atomic layer deposition on flexible polymeric substrates employing a polyimide adhesive as a physical mask6
Atmospheric pressure plasma functionalization of polystyrene6
Manipulation of thin metal film morphology on weakly interacting substrates via selective deployment of alloying species6
In-Cu alloy substrates for low-temperature chemical vapor deposition of Mo2C6
Modeling atomic layer deposition process parameters to achieve dense nanocrystal-based nanocomposites6
Role of organic molecules in enabling modern technology6
Theoretical study of the adsorption of Lewis acids on MoS2 in relation to atomic layer deposition of Al2O36
Focus ring geometry influence on wafer edge voltage distribution for plasma processes6
Energy-dense Li metal anodes enabled by thin film electrolytes6
Effects of O2 addition on in-plasma photo-assisted etching of Si with chlorine6
Evaluation of the accuracy of stopping and range of ions in matter simulations through secondary ion mass spectrometry and Rutherford backscattering spectrometry for low energy heavy ion implantation6
Approaching ultrathin VO2 films on sapphire (001) substrates by biased reactive sputtering: Characteristic morphology and its effect on the infrared-light switching6
Controlled thin-film deposition of α or β Ga2O3 by ion-beam sputtering6
Plasma enhanced atomic layer deposition of titanium nitride-molybdenum nitride solid solutions6
Kinetics of the low-pressure chemical vapor deposited tungsten nitride process using tungsten hexafluoride and ammonia precursors6
High thickness uniformity of 2-in. wafer-scale β-Ga2O3 films grown by MOCVD and photoelectrical properties6
Beyond point of care diagnostics: Low-dimensional nanomaterials for electronic virus sensing6
Thin film deposition research and its impact on microelectronics scaling6
Effects of deposition environment and temperature on photoluminescence, particle morphology, and crystal structure of pulsed laser deposited Ga2O3 thin films6
Atomic layer deposition of sodium fluoride thin films6
Sympetalous defects in metalorganic vapor phase epitaxy (MOVPE)-grown homoepitaxial β-Ga2O3 films6
Target ion and neutral spread in high power impulse magnetron sputtering6
Variation in plutonium dioxide sputter yields for 1–5 keV Ar+ ions6
Controlling conduction band alignment and carrier concentration in gallium-doped magnesium zinc oxide by reactive cosputtering6
Wettability and corrosion resistance of zirconium nitride films obtained via reactive high-power impulse magnetron sputtering6
Vacuum outgassing characteristics of unpigmented 3D printed polymers coated with atomic layer deposited alumina6
Growth and characterization of II-VI semiconductor multilayer quantum-well structures for two-color quantum well infrared photodetector applications6
Study of SnO/ɛ-Ga2O3 pn diodes in planar geometry6
Adsorption and reaction kinetics of SO2 on graphene: An ultrahigh vacuum surface science study6
Chemical passivation of the perovskite layer and its real-time effect on the device performance in back-contact perovskite solar cells6
Ammonia assisted low temperature growth of In2O3 (111) epitaxial films on c-sapphire substrates by chemical vapor deposition technique6
Oxygen-induced surface reconstructions on curved Ag(111)6
Design and implementation of floating field ring edge termination on vertical geometry β-Ga2O3 rectifiers6
Evaluation of nickel self-sputtering yields by molecular-dynamics simulation5
0.033919811248779