ACM Transactions on Design Automation of Electronic Systems

Papers
(The TQCC of ACM Transactions on Design Automation of Electronic Systems is 3. The table below lists those papers that are above that threshold based on CrossRef citation counts [max. 250 papers]. The publications cover those that have been published in the past four years, i.e., from 2020-05-01 to 2024-05-01.)
ArticleCitations
Machine Learning for Electronic Design Automation: A Survey108
Enable Deep Learning on Mobile Devices: Methods, Systems, and Applications45
Security Assessment of Dynamically Obfuscated Scan Chain Against Oracle-guided Attacks28
AutoDSE: Enabling Software Programmers to Design Efficient FPGA Accelerators25
Reconfigurable Network-on-Chip Security Architecture19
An Energy-aware Online Learning Framework for Resource Management in Heterogeneous Platforms19
TAAL18
MaxSense17
SCRIPT17
Adversarial Perturbation Attacks on ML-based CAD17
Modular Neural Networks for Low-Power Image Classification on Embedded Devices15
A Comprehensive Survey of Attacks without Physical Access Targeting Hardware Vulnerabilities in IoT/IIoT Devices, and Their Detection Mechanisms13
High-Level Synthesis of Key-Obfuscated RTL IP with Design Lockout and Camouflaging13
A Survey on Security of Digital Microfluidic Biochips: Technology, Attack, and Defense13
EF-Train: Enable Efficient On-device CNN Training on FPGA through Data Reshaping for Online Adaptation or Personalization12
CeMux: Maximizing the Accuracy of Stochastic Mux Adders and an Application to Filter Design12
FTT-NAS: Discovering Fault-tolerant Convolutional Neural Architecture11
Correlated Multi-objective Multi-fidelity Optimization for HLS Directives Design11
Voltage-Based Covert Channels Using FPGAs10
PREASC10
A Hierarchical HVAC Control Scheme for Energy-aware Smart Building Automation10
Machine Learning Assisted PUF Calibration for Trustworthy Proof of Sensor Data in IoT10
A Robust Modulus-Based Matrix Splitting Iteration Method for Mixed-Cell-Height Circuit Legalization10
Directed Test Generation for Activation of Security Assertions in RTL Models10
Strong Logic Obfuscation with Low Overhead against IC Reverse Engineering Attacks10
Core Placement Optimization for Multi-chip Many-core Neural Network Systems with Reinforcement Learning10
MNFTL9
Algorithmic Fault Detection for RRAM-based Matrix Operations9
Runtime Identification of Hardware Trojans by Feature Analysis on Gate-Level Unstructured Data and Anomaly Detection8
NoC Application Mapping Optimization Using Reinforcement Learning8
Design Flow and Methodology for Dynamic and Static Energy-constrained Scheduling Framework in Heterogeneous Multicore Embedded Devices7
MeF-RAM: A New Non-Volatile Cache Memory Based on Magneto-Electric FET7
Machine Learning Approach for Fast Electromigration Aware Aging Prediction in Incremental Design of Large Scale On-chip Power Grid Network7
TransNet7
Security Threat Analyses and Attack Models for Approximate Computing Systems7
Towards Smarter Diagnosis7
High-Level Synthesis Implementation of an Embedded Real-Time HEVC Intra Encoder on FPGA for Media Applications7
Machine Learning for Congestion Management and Routability Prediction within FPGA Placement7
FUBOCO: Structure Synthesis of Basic Op-Amps by FUnctional BlOck COmposition7
A Deterministic-Path Routing Algorithm for Tolerating Many Faults on Very-Large-Scale Network-on-Chip6
HeM3D6
A Module-Linking Graph Assisted Hybrid Optimization Framework for Custom Analog and Mixed-Signal Circuit Parameter Synthesis6
Learning from the Past: Efficient High-level Synthesis Design Space Exploration for FPGAs6
Implementation, Characterization and Application of Path Changing Switch based Arbiter PUF on FPGA as a lightweight Security Primitive for IoT6
Graph Neural Networks for High-Level Synthesis Design Space Exploration6
High-throughput Near-Memory Processing on CNNs with 3D HBM-like Memory6
NeuPow6
A Tensor Network based Decision Diagram for Representation of Quantum Circuits6
Predicting Memory Compiler Performance Outputs Using Feed-forward Neural Networks5
ESPSim: An Efficient Scalable Power Grid Simulator Based on Parallel Algebraic Multigrid5
Robust Multi-Target Sample Preparation on MEDA Biochips Obviating Waste Production5
A Survey on Approximate Multiplier Designs for Energy Efficiency: From Algorithms to Circuits5
A Comprehensive Survey on Electronic Design Automation and Graph Neural Networks: Theory and Applications5
Sherlock: A Multi-Objective Design Space Exploration Framework5
Mitigating Negative Impacts of Read Disturb in SSDs5
Dataflow Model–based Software Synthesis Framework for Parallel and Distributed Embedded Systems5
Quantum Circuit Transformation: A Monte Carlo Tree Search Framework5
Machine Learning Approaches for Efficient Design Space Exploration of Application-Specific NoCs4
Efficient Layout Hotspot Detection via Neural Architecture Search4
Multi-Fidelity Surrogate-Based Optimization for Electromagnetic Simulation Acceleration4
Design Space Optimization of Shared Memory Architecture in Accelerator-rich Systems4
A Survey and Perspective on Artificial Intelligence for Security-Aware Electronic Design Automation4
Magnetic Core TSV-Inductor Design and Optimization for On-chip DC-DC Converter4
Machine Learning-based Defect Coverage Boosting of Analog Circuits under Measurement Variations4
A Learning-based Methodology for Scenario-aware Mapping of Soft Real-time Applications onto Heterogeneous MPSoCs4
SwitchX : Gmin-Gmax Switching for Energy-efficient and Robust Implementation of Binarized Neural Networks on ReRAM Xbars4
Fine-grained Adaptive Testing Based on Quality Prediction4
Ax-BxP: Approximate Blocked Computation for Precision-reconfigurable Deep Neural Network Acceleration4
RASCv2: Enabling Remote Access to Side-Channels for Mission Critical and IoT Systems4
A Runtime Reconfigurable Design of Compute-in-Memory–Based Hardware Accelerator for Deep Learning Inference4
Software/Hardware Co-design of 3D NoC-based GPU Architectures for Accelerated Graph Computations4
Architectural Design of Flow-Based Microfluidic Biochips for Multi-Target Dilution of Biochemical Fluids4
Leveraging Automatic High-Level Synthesis Resource Sharing to Maximize Dynamical Voltage Overscaling with Error Control3
Energy-Efficient GPU L2 Cache Design Using Instruction-Level Data Locality Similarity3
Machine Learning for Statistical Modeling3
A Switching NMOS Based Single Ended Sense Amplifier for High Density SRAM Applications3
COPE3
A Dynamic Huffman Coding Method for Reliable TLC NAND Flash Memory3
Synthesizing Brain-network-inspired Interconnections for Large-scale Network-on-chips3
ECO-GNN: Signoff Power Prediction Using Graph Neural Networks with Subgraph Approximation3
Improving FPGA-Based Logic Emulation Systems through Machine Learning3
FastCFI: Real-time Control-Flow Integrity Using FPGA without Code Instrumentation3
Placement of Digital Microfluidic Biochips via a New Evolutionary Algorithm3
MEDUSA: A Multi-Resolution Machine Learning Congestion Estimation Method for 2D and 3D Global Routing3
Distance-aware Approximate Nanophotonic Interconnect3
Automatic Mapping of the Best-Suited DNN Pruning Schemes for Real-Time Mobile Acceleration3
A Native SPICE Implementation of Memristor Models for Simulation of Neuromorphic Analog Signal Processing Circuits3
Wire Load Oriented Analog Routing with Matching Constraints3
Toward Taming the Overhead Monster for Data-flow Integrity3
Dynamic Quantization Range Control for Analog-in-Memory Neural Networks Acceleration3
Ising-FPGA3
A Design Methodology for Energy-Aware Processing in Unmanned Aerial Vehicles3
0.032007932662964